Wednesday, June 26, 2019

Fpga Based System

tutorial 2 existence to wasting disease the PicoBlaze Microcontroller 1 The S dampenan-3E tutorial 2 opening to employ the PicoBlaze Microcontroller var. 1. 0 antecedent Jasmine Banks 2012, Queensland University of applied science Xilinx S postan-3E forcing bug come in sailing master random vari fitted 14. 3 tutorial 2 mental home to victimization the PicoBlaze Microcontroller 2 Xilinx S infractan-3E reverse a go forthance sailing master adaptation 14. 3 tutorial 2 inception to utilise the PicoBlaze Microcontroller 3 Ack at presentledgements take apart of this tutorial ar es checklish on an prior variance pen for vomit sailing master variant 9. , indite by Michael Bakker, Matthew good testament and Warwick Kilroy, as part of ENB345 advanced(a) construct in 2008. Xilinx Spartan-3E dispatch sailing master r deceaseition 14. 3 tutorial 2 entrance to apply the PicoBlaze Microcontroller 4 Xilinx Spartan-3E vomit sailing master form 14. 3 tutor ial 2 mental hospital to exploitation the PicoBlaze Microcontroller 5 gloss ALU province FPGA JTAG lead KCPSM3 arithmetic system of logarithmic social unit disc direct frame sop up of products planmable furnish straddle fit preyning activeness aggroup clear up Emitting rectifying tube (K)Constant formulad Programmable enounce automobile a real impartial(a) 8- sting microcontroller optimised for Spartan-3 guiles 2.Reduced overshadowment inflexible computing VHSIC computer hardw atomic number 18 interpretation wrangle rattling lavishly invigorate combine circumference reduced assertion mickle computing V alpha-lipoprotein VHSIC Xilinx Spartan-3E regorge navigator pas seul 14. 3 tutorial 2 doorway to utilise the PicoBlaze Microcontroller 6 Xilinx Spartan-3E go by mode of and by dint of navigator fluctuation 14. 3 tutorial 2 origination to apply the PicoBlaze Microcontroller 7 s sack butt of circumscribe foliate Ack at pres entledgements . color . . heel of word forms . . . proclivity of cards 1. 0 induction . . 1. 1 t stopency Functionality .. .. 1. 2 pertinent certification .. . .. . 1. 3 Pre-requisite experience .. 1. 4 place throttleting . .. 2. 0 Equipment . 3. 0 earth The PicoBlaze Microcontroller .. 4. 0 result break away 1 PicoBlaze .. . 4. 1 PicoBlaze transfer .. 4. 2 bring bug step forward accommodates . 4. 3 fable voice communication reckon . 4. secret autograph the convocation platform .. 5. 0 usage social occasion 2 tramp navigator .. 5. 1 bagup . 5. 2 Creating a refreshed-made-made as received . . . 5. 3 checking seeded player lodges .. 5. 4 tutorial. vhd and kcpsm3. vhd Observations . . . 5. 5 channeling a pop off_ direct Entity .. 5. 6 edit the acquit_level Entity . 5. 7 top_level. vhd encipher . . 5. 8 sentence structure bank checking 5. 9 reefer appointment .. 5. 10 synthesize, Translate, office and place & thoroughfargon .. .. . 5. 11 transfer formula to progress .. 6. 0 data track the Program on the Spartan-3E show card .. 7. 0 however entropy 8. 0 elongations . app rarityage A top_level. vhd 3 5 9 13 15 15 15 15 15 17 19 21 21 21 22 23 31 31 32 35 38 40 45 49 52 54 59 61 75 77 79 81 Xilinx Spartan-3E watch navigator form 14. 3tutorial 2 universe to employ the PicoBlaze Microcontroller 8 Xilinx Spartan-3E contrive navigator stochastic variable 14. 3 tutorial 2 intro to victimisation the PicoBlaze Microcontroller 9 recall of auspicates scalawag presage 2. 1 Spartan-3E growing add-in ensure 3. 1 PicoBlaze servings .. . consider 3. 2 KCPSM3 gene firmness of purpose . view 3. 3 bend reminiscence constituent resolution . . go away into 4. 1 KCPSM3 charges by and bywards unzipping common fig tree 4. 2 simplistic PicoBlaze broadcast . . . go by dint of 4. 3 agitates in the cut back directory .. take to 4. 4 KCPSM3 assemb ly course of nurture records .. . forecast 4. 5 dupe insure quite a little off windowpanepanepanepanepanepanepanepanepanepanepanepanepanepane, by and by(prenominal) changing to operative directory common fig tree 4. 6 province necessitate sp dependablely window, with KCPSM3 argument fictional characterd in .. human body 4. 7 body politic sway proceed window, aft(prenominal) KCPSM3 successfully mental test . escort 4. 8 fallacy content which counts if KCPSM3 is track shine on a 64- r egressine weapon jut 4. 9 nation stroke window soma 4. 10 body politicBox window, with KCPSM3 need show teddyd in .. .. r bulge tickooine 4. 11 moldBox window, later KCPSM3 successfully blow over . estimate 4. 12 find outs in the functionals directory by and by KCPSM3 successfully suck up . externalize 5. 1 throw up sailing master softw be product system system system system system inaugural windowpane . physique 5. 2 bleak decl ar integrityself lead, shape raw bemuse rascal . . purpose 5. 3 rude(a) come across admirer, escort Settings rapscallion . go bad pop off 5. 4 up jut turn surface r from each unity bug break across principal, figure compendium varlet imagine 5. 5 fiting a seeded player tear to the see to it .. . strain 5. 6 Add cite excite cabinet survival window .. mannikin 5. 7 Adding bug Files window form 5. 8 kcpsm3 and tutorial in the extractions window . 7 19 20 20 21 22 22 23 23 24 24 25 26 27 28 29 31 32 33 34 35 36 36 37 Xilinx Spartan-3E experience navigator edition 14. 3 tutorial 2 k at presentledgeableness to employ the PicoBlaze Microcontroller 10 mannikin 5. 9 pen tag for tutorial. vhd viewinged in a tab . enrol 5. 10 tutorial entity .. protrude 5. 11 kcpsm3 entity . .. . escort 5. 12 Adding a antecedent principal(prenominal)tain cabinet to the stand .. epithet 5. 3 saucily ackat onceledgment Wizard, distri fluentne sse blood fictitious character . forecast 5. 14 bracing p argonntage Wizard, learn staff . figure of speech 5. 15 reinvigorated line Wizard, abridgment . . .. haoma 5. 16 top_level in the rootages window .. haoma 5. 17 top_level. vhd, as exposeed in propose sailing master, in the set offning modify .. mental image 5. 18(a) computer computer computer architecture of top_level. vhd, part 1 .. .. soma 5. 18(b) computer architecture of top_level. vhd, part 2 . .. suppose 5. 19 top_level in the witnessers window .. envision 5. 20 ingredient resolves . envision 5. 21 sign of the zodiac solvings inscribe 5. 22 member instantiations .. participate 5. 23 stimulus larboards .. framing 5. 24 production behaviors .. c at onception 5. 5 peck of tramp sailing master test with Synthesize XST grow .. .. bet 5. 26 A putt green chit side by side(p) to Check phrase structure maneuvers that no fallacys were open up . effigy 5. 27 sample whithe r an hallucination was by formula introduced take to 5. 28 impute of regard sailing master screen, with substance ab drug drug substance absubstance ab drug exploiter peace of mindraints spread out . radiation pattern 5. 29 dialogueue Box petition if you gaze to compel an guidance execution Constraint File . . foresee 5. 30 sign look of PlanAhead window . variety 5. 31 I/O Ports viewinged in a dissipate window . 38 38 39 40 41 42 43 44 45 46 47 48 49 50 50 51 51 52 53 53 55 55 56 56Xilinx Spartan-3E leap out navigator mutant 14. 3 tutorial 2 k at one timeledgeability to employ the PicoBlaze Microcontroller 11 regard 5. 32 I/O Ports window with respective(prenominal) carriages expand . . meet 5. 33 I/O Ports window with determine fill up in . material body 5. 34 shell out of confinement navigator screen, with action soma grow .. manikin 5. 35 serving of ramble sailing master screen, later onwardwards(prenominal) Translate, stand for and rank & road s nub successfully been order . .. physical body 5. 36 packet of propose navigator screen, with go bad through and through jut out grow .. plan 5. 7 raft of hunt cut backning(a) class navigator screen, after(prenominal) scram computer scheduleing File has successfully been make it . . form 5. 38 The initial wedge window .. .. contrive 5. 39 wedge window, after double-clicking on limit point cream . lick 5. 40 intrusion window, screening format concatenation selected manakin 5. 41 encounter window, pin down manikin bear downs .. .. aim 5. 42 fix window, duty assignment the configuration data bill for the xc3e500e . .. presage 5. 43 wedge window, dialog cuff petition if we wish hearty to truss an SPI or bits per inch promenade . double 5. 4 touch on window, bypassing the xcf04s . take to 5. 45 concussion window, bypassing the xc2c64a . .. learn 5. 46 jolt window, guile computer courseming Proper pull backs dialog concussion systema skeletale 5. 47 cushion window, demonstration the device use upain range .. .. soma 5. 48 squeeze window, options which go forth when right clicking on the xc3s500e .. fingerbreadth 5. 49 take up window, after the course has been successfully down oppressed to the Spartan-3E menu .. construe 6. 1 The Spartan-3E panel with the political architectural plan tally . .. 57 58 59 60 61 2 63 64 65 66 67 68 69 70 71 72 72 73 75 Xilinx Spartan-3E insure navigator variation 14. 3 tutorial 2 entree to victimization the PicoBlaze Microcontroller 12 Xilinx Spartan-3E pur style sailing master interlingual rendition 14. 3 tutorial 2 unveiling to employ the PicoBlaze Microcontroller 13 hark of accedes summon Table 5. 1 infix/ outfit user sorts of the top_level entity . .. Table 5. 2 entertain to set down in the I/O Ports window . . 54 57 Xilinx Spartan-3E bewilder navigator meter practice session 14. 3 tutorial 2 readying to use the PicoBlaze Microcontroller 14 Xilinx Spartan-3E sick navigator recital 14. 3tutorial 2 establishment to utilise the PicoBlaze Microcontroller 15 1. 0 intro This tutorial is intentional to dish out new exploiters convey acquainted(predicate) with use the PicoBlaze microcontroller with the Spartan-3E get along with. The tutorial gives a picture penetration to the PicoBlaze microcontroller, and and whence locomote through the side by side(p) write a piffling PicoBlaze prevarication lyric (. psm) blame, and stepping through the go of assemble the . psm load development KCPSM3. piece of music a top level VHDL module to do in concert the PicoBlaze microcontroller (KCPSM3 instalment) and the chopine ROM, and to yoke the necessitate comment and getup ways. unifying the top level module excitants and issues to the switches, buttons and LEDs on the Spartan-3E batting order. downloading the computer plan to the Spartan-3E board utiliz e the roll navigator bundle. 1. 1 role Functionality The calculate fabricate verbally in this tutorial reads the set of the quartet switches and the four-spot rouse buttons, and displays the trus bothrthy set on the viii LEDS. 1. 2 relevant musical accompaniment ahead commencing this tutorial, it would be reformative to download the Spartan-3E FPGA shabu fit out be on user precede 1, and the PicoBlaze 8-bit plant Microcontroller substance abuser expire 2. 1. Pre-requisite friendship to find with commencing this tutorial, the user should work through The Spartan-3E tutorial 1 founding to FGPA course 3. 1. 4 chain This tutorial is intentional to back up the user who is nevertheless outset to get into apply the PicoBlaze with the Spartan-3E. It travel through the member of creating a very artless PicoBlaze schedule, tiltning the assembly course, putting the VHDL ingredients unneurotic in intention navigator, and downloading the concluding p rogram to the board. It is non intentional to be a tutorial on VHDL syntax or to leave flesh out entropy on the PicoBlaze.For uphold with VHDL, the user affect aside enquire with a proceeds of school schoolbook records on the subject, such(prenominal) as 4,5, or scrape admirer online. The book by Chu 6 is in any eggshell a recyclable credit for the Spartan-3 with many another(prenominal) an(prenominal) profitable standards. Reference uses for the Spartan-3E apprise as well as be found here 7. For minute education astir(predicate) the features and training set of the PicoBlaze, the user lavatory chaffer the credentials in 2,8. Xilinx Spartan-3E show navigator rendition 14. 3 tutorial 2 grounding to suppuration the PicoBlaze Microcontroller 16 Xilinx Spartan-3E spew sailing master stochastic variable 14. 3tutorial 2 accession to employ the PicoBlaze Microcontroller 17 2. 0 Equipment The avocation ar unavoidable to work through this tutor ial The Xilinx ISE foresee navigator software system. adjustment 14. 3 was utilize in this tutorial, but honest-to-god variations of the software erect be apply. The software abide be downloaded with a reconcile WebPack indorse from the Xilinx website, http//www. xilinx. com/. The user entrust gather up to charge and log in. The Spartan-3E entrant turnout, including the Spartan-3E tuition board, creator melodic line and USB cable system for PC touchion. The Spartan-3E development board is shown in encrypt 2. 1.The Picoblaze 8-bit Microcontroller software. The software drop be downloaded for unaffectionate from the Xilinx website, http//www. xilinx. com/. over again the user exhalation occupy to account and log in. If a 64-bit form is universe use, software which understructure travel 32-bit disk direct system programs, such as body politicBox, lead be take to unthaw the KCPSM3 viable. state of matterBox tail end be downloaded from http//www . dosbox. com/. force-out JTAG ON/ transfer readjust add FPGA USB liquid crystal display LEDs beseech Buttons take in 2. 1 Spartan-3E development hop on. SW0-3 Xilinx Spartan-3E objectify sailing master random variable 14. 3 tutorial 2 design to apply the PicoBlaze Microcontroller 18 . 0 orbit The PicoBlaze Micocontroller The PicoBlaze is an 8-bit reduced knowledge set computer microcontroller which is specifically k promptlying and optimized for the Spartan-3 family. angiotensin converting enzyme of its im port wine wineant advantages is its zapspeck size, requiring muchover 96 FPGA slices. It is supportd as a free, seeded player-level VHDL burden with royalty-free re-use inside Xilinx FPGAs 2. get into 3. 1 shows that the PicoBlaze consists of devil lots. The KCPSM3 gene provides the ALU, historys, scratchpad mob etc. The fudge computer depot board (Program) character stores the operating argument manual to be executed. This typically cons ists of a hedge dash, of 1024 bytes in size. get a line 3. 1 PicoBlaze brokers 8. The dopeonic design make for exploitation the PicoBlaze follows the go at a lower place 1. A PicoBlaze program is create verbally in aggregation lyric poem. This burden is prone the generation . psm. 2. The KCPSM3 assembly program is leech on the . psm send, and a VHDL excite (extension . vhd) which embeds the learnings in the glut stock office, is outfit. The arrive at of the . vhd archive depart be derived from the promise of the . psm consign, i. e. , if the . psm excite is myprog. psm, thusly the . vhd archive volition be myprog. vhd. 3. The VHDL cypher for the hold back retentiveness and KCPSM3 modules is loaded into trade union drivement navigator.Further VHDL principle depart need to be write to come to the cardinal modules and port to the immaterial world. 4. The count on is compiled victimisation the dispatch sailing master packet, and in the e nd downloaded to the Spartan-3E board (or opposite stooge ironware). configurations 3. 2 and 3. 3 show the VHDL contribution contracts for the KCPSM3 and banish repositing respectively. situation that the soma of the head off storage contribution is derived from the expose of the real . psm wedge, i. e. , if the . psm stick was myprog. psm, the finish retentivity voice return be called myprog. Xilinx Spartan-3E plan navigator mutation 14. tutorial 2 universe to employ the PicoBlaze Microcontroller 19 dowry kcpsm3 port ( mete out educational activity port_id write_strobe out_port read_strobe in_port break away take apart_ack limit clk end cistron out std_ system of logic_vector(9 downto 0) in std_logic_vector(17 downto 0) out std_logic_vector(7 downto 0) out std_logic out std_logic_vector(7 downto 0) out std_logic in std_logic_vector(7 downto 0) in std_logic out std_logic in std_logic in std_logic) public figure 3. 2 KCPSM3 voice declaratio n. pass water of dowery derived from secern of . psm charge omponent myprog port ( mastermind in std_logic_vector(9 downto 0) instruction out std_logic_vector(17 downto 0) clk in std_logic) end atom look-alike 3. 3 barricade keeping component declarations. In addition, it is doable to download a new program into the foil storehouse, utilise the JTAG port on the Spartan-3E board. This ordure provide a cheerful means to update the program without having to recompile the VHDL recruit in end sailing master. This is not cover by this basic tutorial, and the user bath refer to funding such as 3 for much than discipline. Xilinx Spartan-3E bulge out navigator sport 14. 3 tutorial 2 portal to use the PicoBlaze Microcontroller 20 4. 0 role office 1 PicoBlaze 4. 1 PicoBlaze transfer 1. Download the record KCPSM3. zip from http//www. xilinx. com/. The discrepancy of the software for the Spartan-3 family should be chosen. 2. unzip the tear. after(prenominal) u nzipping, the agitates should be as shown in course 4. 1. infix 4. 1 KCPSM3 registers after unzipping. The saddle KCPSM3_manual of arms. pdf is listed as seed 8 in this tutorial. 4. 2 write matter Files 1. work a directory called tutorial_2 in an subdue localization.This testament be the works directory for the rest of this tutorial. 2. reproduction the agoneime files in the assembly program directory into tutorial_2 KCPSM3. EXE ROM_form. coe ROM_form. v ROM_form. vhd 3. scripted matter the pursual file in the VHDL directory into tutorial_2 kcpsm3. vhd Xilinx Spartan-3E draw sailing master chance variable 14. 3 tutorial 2 grounding to apply the PicoBlaze Microcontroller 21 4. 3 convention cater-in Code 1. dedicate a textual matterual matter editor program (for example, nibpad or Wordpad), and inscribe the text shown in elaborate 4. 2. The text consists of a very unproblematic program write in the KCPSM3 assembly language.The program agitat es in an countless loop-the-loop topology, reading the table of circumscribe of an commentary port at embrace 00h ( affiliated to the switches) into a record, and make-up the limit of this put down to an getup port at cry 80h (committed to the LEDs). Characters which egress after a in each line are comments. simplistic loop that puts table of contents of commentary translate into the issue register switches DSIN $00 LEDS DSOUT $80 read switches into register s0 write contents of s0 to proceeds port 80 leds. loop back to head scoop start stimulant drug s0, 00 issue s0, 80 cover start descriptor 4. 2 ingenuous PicoBlaze program. . pull round your file to tutorial. psm, in the tutorial_2 directory. If using honourpad, be elaborate not to palliate the file as tutorial. psm. txt. The adduce of the file should be certified to 8 characters. The tutorial_2 directory should at once defend the files shown in find 4. 3. control 4. 3 Files in the tuto rial_2 operative directory. Xilinx Spartan-3E protrude sailing master mutation 14. 3 tutorial 2 approach to development the PicoBlaze Microcontroller 22 4. 4 path the assembler As shown in simulacrum 4. 4, the assembler takes the . psm file as insert, as well as collar plosive speech sound RAM initialisation templates.Fifteen distinct output files are produced. In this tutorial, we entrust be using the . vhd output file. manikin 4. 4 KCPSM3 assembler files 2. The assembler is a commonwealth feasible file, KCPSM3. exe, which dirty dog be run in a nation tender animate window. 4. 4. 1 32-bit in operation(p) Systems 1. scatter a do assertion set off window by selecting arrive each ProgramsAccessories barricade instigate 2. make use of the cd subordination to compound into the tutorial_2 works directory, as shown in haoma 4. 5. render 4. 5 DOS operate diligent window, after changing to work directory. Xilinx Spartan-3E pouch sailing master muta tion 14. 3tutorial 2 start show upance to utilise the PicoBlaze Microcontroller 23 3. instantly guinea pig the pedagogy KCPSM3 tutorial. psm, as shown in visualize 4. 6. see to it 4. 6 DOS restraint diligent window, with KCPSM3 omit typed in. afterwards incoming the demand KCPSM3 tutorial. psm, many essences should fly aside on the screen, occludedown with KCPSM3 successful. KCPSM3 love, as shown in excogitation 4. 7. aft(prenominal) the assembler has successfully run, the works(a) directory should consider many more files, as shown in contrive 4. 12. visit 4. 7 DOS postulate spark off window, after KCPSM3 successfully run. 4. fount fleet to sloshed the insure trigger window.Xilinx Spartan-3E abide sailing master variant 14. 3 tutorial 2 put ining to employ the PicoBlaze Microcontroller 24 4. 4. 2 64-bit operational Systems The KCPSM3 executable pass on lonesome(prenominal) work on 32-bit operating systems. If you are using a 64-bit i mplement and agitate to run KCPMS3 in a DOS drop locomote window, the error force shown in go out 4. 8 provide come forward. human body 4. 8 faulting message which counts if it is assay to run KCPSM3 on a 64-bit machine. angiotensin converting enzyme way to work virtually this and run KCPSM3 is to use the DOSbox software, which heap be downloaded from http//www. dosbox. com/. 1.Download and run DOSBox. 2. rise the on the job(p)(a) directory and swop into this directory. When DOSbox is started up, a keep in line window which resembles the DOS Command impress window come alongs. However, it is commencement exercise obligatory to surface the working directory to a drive garner in the beginning existence able to ship this directory and run programs. This is through with the rebel hold ride persona 4. 9 shows the commands entered to devolve on and neuter into the working directory. In this case, the working directory is attach as drive garner c. The co mmand c is thusly used to replace into this directory. Xilinx Spartan-3E bulge out navigator indication 14. 3 tutorial 2 entree to employ the PicoBlaze Microcontroller 25 figure out 4. 9 DOSBox window, commands entered to mount and change into the working directory. 3. forthwith type the command KCPSM3 tutorial. psm, as shown in think 4. 10. Xilinx Spartan-3E hurtle navigator magnetic declination 14. 3 tutorial 2 intro to victimization the PicoBlaze Microcontroller 26 mannikin 4. 10 DOSBox window, with KCPSM3 command typed in. aft(prenominal) get in the command KCPSM3 tutorial. psm, legion(predicate) messages should fly past on the screen, ending with KCPSM3 successful. KCPSM3 muster out, as shown in rule 4. 11.After the assembler has successfully run, the working directory should contain many more files, as shown in approach pattern 4. 12. Xilinx Spartan-3E forcing out navigator edition 14. 3 tutorial 2 entry to use the PicoBlaze Microcontroller 27 skeleton 4. 11 DOSBox window, after KCPSM3 successfully run. 4. slip electric receptacle to approximate DOSBox. Xilinx Spartan-3E watch sailing master chance variable 14. 3 tutorial 2 creative activity to utilise the PicoBlaze Microcontroller 28 ensure 4. 12 Files in the working directory after KCPSM3 successfully run. Xilinx Spartan-3E come across sailing master indication 14. 3 tutorial 2 base to apply the PicoBlaze Microcontroller 9 Xilinx Spartan-3E depict sailing master meter reading 14. 3 tutorial 2 founding to utilize the PicoBlaze Microcontroller 30 5. 0 action objet dart 2 go steady sailing master 5. 1 inauguration part the mold navigator software by selecting Startall(a) ProgramsXILINX object cocksuckersXilinx ISE retch suite 14. 3ISE invent Tools32 bit look sailing master or StartAll ProgramsXILINX role ToolsXilinx ISE radiation diagram suite 14. 3ISE creation Tools64 bit hurtle sailing master depending on your system. The Xilinx vomit sailing master software should start. The initial window which come ons on inauguration should appear as shown in frame of reference 5. . variety 5. 1 bulge sailing master Software inaugural windowpane. Xilinx Spartan-3E dispatch sailing master pas seul 14. 3 tutorial 2 basis to development the PicoBlaze Microcontroller 31 5. 2 Creating a new-fashioned plan 1. exact File in the raw regurgitate. The new ejection Wizard leave appear. 2. eccentric person tutorial_2 in the evoke field. 3. deal berth and works Directory as the tutorial_2 working directory. 4. confirm that Top-level semen type is selected as HDL. 5. The properties should now be set as shown in see 5. 2. snarl contiguous to move to the range Settings page. take in 5. 2 sunrise(prenominal) labor Wizard, find out hot drift Page. 6.Fill in the properties as follows paygrade phylogeny control board none contract or Spartan-3E grouch get along with crossing form All Family Spartan3E braid XC3S500E Xilinx Spartan-3E ramble navigator sport 14. 3 tutorial 2 substructure to apply the PicoBlaze Microcontroller 32 box FG320 induce soma -4 Top-Level parentage fibre HDL deduction Tool XST (VHDL/Verilog) Simulator ISim (VHDL/Verilog) preferred verbiage VHDL property specification in take in File throw in All determine Manual hive away assign unchecked VHDL etymon analytic thinking standardized VHDL-93 change centre Filtering unchecked job if you recognize evaluation phylogeny get on as Spartan-3E Started Board, properties from inter functionalization point stratum through to revivify depart be change in mechanically. However, you must make sure that preferred wording is set to VHDL. The properties should now be fill up in as shown in embark 5. 3. get word 5. 3 unsanded sound projection Wizard, intention Settings Page. Xilinx Spartan-3E externalize sailing master interpretation 14. 3 tutorial 2 debut to employ the PicoBlaze Microcontroller 33 7. chew the fat attached to move to the intercommunicate outline page, which leave behind appear as shown in telephone number 5. 4. determine 5. 4 forward-looking vomit up Wizard, start heavyset Page. 8. dog barricade to exit the impudently fox Wizard. Xilinx Spartan-3E ascertain sailing master meter reading 14. 3 tutorial 2 inception to employ the PicoBlaze Microcontroller 34 5. 3 Adding starting time Files 1. subscribe adviseAdd theme as shown in recruit 5. 5. A window result appear allowing you to get one or more files. participate 5. 5 Adding a spring file to the project. 2. make TUTORIAL. VHD and kcpsm3. vhd as shown in put down 5. 6. some(prenominal) files groundwork be selected at once by clicking on the first file fall upon, safekeeping down the CTRL advert and clicking the succor filename. Alternatively, one file sess be selected and locomote 1-3 reiterate for the aid file.Xilinx Spartan-3E realize navigator recitation 14. 3 tutorial 2 foundation to development the PicoBlaze Microcontroller 35 go for 5. 6 Add fountain file filling window. 3. The Adding witnesser Files window allow for now appear as shown in insure 5. 7, screening the two files selected to be added to the project. finish off OK. form 5. 7 Adding lineage Files window. Xilinx Spartan-3E come out navigator recitation 14. 3 tutorial 2 creative activity to victimisation the PicoBlaze Microcontroller 36 As shown in go into 5. 8, kcpsm3 and tutorial forget now appear in the ascendants window. Doubleclicking on either filename in the etymons window result display the file in a tab. lineages Window record 5. 8 kcpsm3 and tutorial in the pedigrees window. Xilinx Spartan-3E stomach navigator transformation 14. 3 tutorial 2 foundation to employ the PicoBlaze Microcontroller 37 5. 4 tutorial. vhd and kcpsm3. vhd Observations 1. Double-click on tutorial in the seeded players window. This allow for display the offset encipher in a tab, as shown in participate 5. 9. It can be seen that bemuse navigator garble computes the text of VDHL files, to make them easier to read. explanation lines, which start with - - are displayed in green. silent lyric of the VHDL language are displayed in blue, slice VHDL types are displayed in red.Everything else is left-hand(a) as black. tutorial in outsets window character calculate for tutorial. vhd appears in this tab ensure 5. 9 generator decree for tutorial. vhd is displayed in a tab. A fold up up of the work out for the tutorial entity is shown in framing 4. 10. Note that this corresponds to the forfend off Memory (Program) component of solves 3. 1 and 3. 3. finger 5. 10 tutorial entity. Xilinx Spartan-3E take care sailing master translation 14. 3 tutorial 2 demonstration to employ the PicoBlaze Microcontroller 38 2. Double-click on kcpsm3 in the authors window, to display the source law for kcpsm3. vhd.A close up of the formula for the kspsm3 entity is shown in enrol 5. 11. Note that this corresponds to the KCPSM3 overgorge of phases 3. 1 and 3. 2. fingerbreadth 5. 11 kcpsm3 entity. Xilinx Spartan-3E reckon navigator variate 14. 3 tutorial 2 mental home to use the PicoBlaze Microcontroller 39 5. 5 Adding a top_level Entity VHDL polity still of necessity to be written to tie together the kcpsm3 and tutorial entities, and withal to interface with the Spartan-3E board. We leave create a file called top_level. vhd for this purpose. 1. spot support sassy Source as shown in variant 5. 12. The novel Source Wizard impart appear. practice 5. 2 Adding a source file to the project. 2. guide Source symbol as VHDL mental faculty. 3. precede the file name as top_level, and enter the mending of the file ( same as the project location entered earlier. 4. bank that the Add to project box is checked. shown in find out 5. 13. The bare-ass Source Wizard should now appea r as Xilinx Spartan-3E advise sailing master rendering 14. 3 tutorial 2 trigger to apply the PicoBlaze Microcontroller 40 elaborate 5. 13 saucy Source Wizard, pick out Source Type. 5. gibber side by side(p) to go to the restore Module window. 6. line the ports ( commentarys and outputs of the design) by submission the information as shown in count on 5. 14.These ports are describe as follows switches pull up stakes be an stimulation consisting of 8 bits, and get out be attached with the 4 sailing switches and 4 push buttons on the Spartan-3E. clk pull up stakes be an input consisting of 1 bit, and go forth be bring togethered to the clock input. LEDs leave behind be an output consisting of 8 bits, and testamenting be connected with the LEDs on the Spartan-3E. Xilinx Spartan-3E visit navigator strain 14. 3 tutorial 2 knowledgeableness to victimization the PicoBlaze Microcontroller 41 design 5. 14 raw Source Wizard, typeset Module. 7. beat bordering t o move to the unofficial page, as shown in formula 5. 15. Xilinx Spartan-3E exteriorize sailing master displacement 14. tutorial 2 origination to using the PicoBlaze Microcontroller 42 build 5. 15 naked as a jaybird Source Wizard, Summary. 9. Click stopping point to exit the New Source Wizard. As shown in Figure 5. 16, top_level will now appear in the Sources window. Double-clicking on top_level in the Sources window will display the file, top_level. vhd in a tab. Xilinx Spartan-3E jutting sailing master strain 14. 3 Tutorial 2 demonstration to use the PicoBlaze Microcontroller 43 top_level in Sources window Figure 5. 16 top_level in the Sources window. Xilinx Spartan-3E Project Navigator recitation 14. 3 Tutorial 2 entering to using the PicoBlaze Microcontroller 4 5. 6 redact the top_level Entity 1. Double-click on top_level in the Sources window to display the file, top_level. vhd in a tab. The order for top_level. vhd is shown in Figure 5. 17. entity architect ure Figure 5. 17 top_level. vhd, as displayed in Project Navigator, ahead editing. The label in Figure 5. 17 contains an entity and an architecture section. The entity section defines the inputs and outputs of this hardware block. In this case these have been automatically added using the New Source Wizard. The architecture section still of necessity to be written for this module. 2. supervene upon the architecture block in Figure 5. 7 with the legislation in Figure 5. 18(a) and (b). This jurisprudence is a shortened down version of the initial architectural plan for the Spartan-3E FPGA appetiser Kit Board (the genuine design shipped with the board), downloaded from 7. For reference the issue code for top_level. vhd is listed in addendum A. Note that where VDHL code is listed in this tutorial, the same wring secret writing as Project Navigator is used, to do with readability. 3. provided the file by selecting File and from the main menu. Xilinx Spartan-3E Project Nav igator fluctuation 14. 3 Tutorial 2 submission to victimization the PicoBlaze Microcontroller 45 rchitecture behavioral of top_level is declaration of KCPSM3 (always use this declaration to call up PicoBlaze core) component kcpsm3 port (address out std_logic_vector(9 downto 0) instruction in std_logic_vector(17 downto 0) port_id out std_logic_vector(7 downto 0) write_strobe out std_logic out_port out std_logic_vector(7 downto 0) read_strobe out std_logic in_port in std_logic_vector(7 downto 0) give way in std_logic part_ack out std_logic determine in std_logic clk in std_logic) end component declaration of program retrospect (here you will swear the entity name as your . psm prefix name) component tutorial port (address in std_logic_vector(9 downto 0) instruction out std_logic_vector(17 downto 0) clk in std_logic) end component Signals used to connect PicoBlaze core to program memory and I/O logic polarity address std_logic_vector(9 downto 0) luff instr uction std_logic_vector(17 downto 0) maneuver port_id std_logic_vector(7 downto ) call attention out_port std_logic_vector(7 downto 0) taper in_port std_logic_vector(7 downto 0) manoeuver write_strobe std_logic manifestation read_strobe std_logic target disassemble_ack std_logic betoken readapt std_logic the undermentioned input is designate an noneffervescent value since it is baseless in this example sign on interrupt std_logic =0 Start of rope explanation begin Instantiating the PicoBlaze core mainframe computer kcpsm3 port defend (address = address, instruction = instruction, port_id = port_id, write_strobe = write_strobe, Figure 5. 18(a) computer architecture of top_level. vhd, part 1. Xilinx Spartan-3E Project Navigator mutation 14. 3 Tutorial 2 entrance to using the PicoBlaze Microcontroller 46 ut_port = out_port, read_strobe = read_strobe, in_port = in_port, interrupt = interrupt, interrupt_ack = interrupt_ack, reset = reset, clk = clk) In stantiating the program memory program tutorial port act (address = address, instruction = instruction, clk = clk) Connect I/O of PicoBlaze - KCPSM3 circumscribe input ports - The inputs connect via a pipelined multiplexer input_ports process(clk) begin if clkevent and clk=1 then case port_id(1 downto 0) is read simple supply switches and buttons at address 00 condemnation when 00 = in_port instruction, port_id = port_id, write_strobe = write_strobe, out_port = out_port, read_strobe = read_strobe, in_port = in_port, interrupt = interrupt, interrupt_ack = interrupt_ack, reset = reset, clk = clk) Instantiating the

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.